Verilog

Verilog
Mẫu hìnhStructured
Xuất hiện lần đầu1984 (1984)
Phiên bản ổn định
IEEE 1364-2005 / 9 tháng 11 năm 2005; 18 năm trước (2005-11-09)
Kiểm tra kiểuStatic, weak
Phần mở rộng tên tập tin.v, .vh
Phương ngữ
Verilog-AMS
Ảnh hưởng từ
C, Fortran
Ảnh hưởng tới
SystemVerilog
  • Programmable Logic/Verilog tại Wikibooks

Verilog, được tiêu chuẩn hóa thành IEEE 1364, là ngôn ngữ mô tả phần cứng (hardware description language, viết tắt: HDL) được sử dụng để mô hình hóa các hệ thống điện tử. Nó được sử dụng phổ biến nhất trong thiết kế và xác minh các mạch kỹ thuật số ở trừu tượng mức chuyển thanh ghi. Nó cũng được sử dụng trong việc xác minh các mạch tương tự và mạch tín hiệu hỗn hợp, cũng như trong thiết kế các mạch di truyền.[1] Vào năm 2009, tiêu chuẩn Verilog (IEEE 1364-2005) đã được hợp nhất vào tiêu chuẩn SystemVerilog, tạo ra tiêu chuẩn IEEE 1800-2009. Kể từ đó, Verilog chính thức là một phần của ngôn ngữ SystemVerilog. Phiên bản hiện tại là tiêu chuẩn IEEE 1800-2017.[2]

Tổng quan

Ví dụ

Một ví dụ đơn giản về hai flip-flop sau:

Phần mềm mô phỏng

Để biết thông tin về trình giả lập Verilog, hãy xem danh sách trình giả lập Verilog.

Xem thêm

Tài liệu bổ sung

  • Danh sách trình giả lập Verilog
  • Waveform viewer
  • SystemVerilog Direct Programming Interface (DPI)
  • Verilog Procedural Interface (VPI)

Ngôn ngữ tương tự

  • VHDL
  • SystemC - Thư viện C ++ cung cấp ngữ nghĩa hướng sự kiện HDL
  • SystemVerilog
  • OpenVera
  • e (ngôn ngữ xác minh)
  • Property Specification Language

Tham khảo

  1. ^ Nielsen AA, Der BS, Shin J, Vaidyanathan P, Paralanov V, Strychalski EA, Ross D, Densmore D, Voigt CA (2016). “Genetic circuit design automation”. Science. 352 (6281): aac7341. doi:10.1126/science.aac7341. PMID 27034378.
  2. ^ 1800-2017 - IEEE Standard for SystemVerilog--Unified Hardware Design, Specification, and Verification Language
Ghi chú
  • 1364-2005 — IEEE Standard for Verilog Hardware Description Language. 2006. doi:10.1109/IEEESTD.2006.99495. ISBN 0-7381-4850-4.
  • 1364-2001 — IEEE Standard Verilog Hardware Description Language. 2001. doi:10.1109/IEEESTD.2001.93352. ISBN 0-7381-2826-0.
  • 61691-4-2004 — IEC/IEEE Behavioural Languages — Part 4: Verilog Hardware Description Language (Adoption of IEEE Std 1364-2001). 2004. doi:10.1109/IEEESTD.2004.95753. ISBN 2-8318-7675-3.
  • 1364-1995 — IEEE Standard Hardware Description Language Based on the Verilog(R) Hardware Description Language. 1996. doi:10.1109/IEEESTD.1996.81542. ISBN 978-0-7381-3065-1.
  • Thomas, Donald E.; Moorby, Phillip R. (2013). The Verilog® Hardware Description Language (ấn bản 3). Springer. ISBN 1475724640.
  • [1] Cornell ECE576 Course illustrating synthesis constructs
  • Bergeron, Janick (2012). Writing Testbenches: Functional Verification of HDL Models (ấn bản 2). Springer. ISBN 978-1-4615-0302-6. (The HDL Testbench Bible)

Liên kết ngoài

Hướng dẫn và tài nguyên chung

  • Tips About Using Verilog — Free verilog program example and tips for Advanced User
  • The Development Channel (ngày 26 tháng 4 năm 2015). FPGA Course. YouTube.
  • Johan Sandstrom (tháng 10 năm 1995). “Comparing Verilog to VHDL Syntactically and Semantically”. Integrated System Design. EE Times. — Sandstrom presents a table relating VHDL constructs to Verilog constructs.
  • Verilog Tutorial – Beginners tutorial.
  • Asic-World – Extensive free online tutorial with many examples.
  • Verilog Tutorial — Free Training Presentation Course for Verilog (PowerPoint Show)
  • Verilog Tutorial — Free Training Presentation Course for Verilog (pdf)
  • AllHDL Lưu trữ 2016-12-30 tại Wayback Machine – Verilog for tutorial.
  • Verilog Tutorial Verilog RTL Tutorial with detailed digital design concepts and examples.
  • Qualis Design Corporation (ngày 20 tháng 7 năm 2000). “Verilog HDL quick reference card” (PDF). 1.1. Qualis Design Corporation. Chú thích journal cần |journal= (trợ giúp)
  • Online Verilog-1995 Quick Reference Guide – Stuart Sutherland of Sutherland HDL, Inc.
  • Online Verilog-2001 Quick Reference Guide – Stuart Sutherland of Sutherland HDL, Inc.
  • Misc EDA Utilities – Free Verilog Parser and utilities e.g. verilog2vhdl, vhdl2verilog, verilog2systemc, verilog2ipxact, testbench generator and more
  • EDA Playground (ngày 11 tháng 11 năm 2013). Verilog Tutorials. YouTube. — Verilog tutorials focusing on hands-on coding and debugging
  • EDA Playground — Free web browser-based Verilog IDE
  • Verilog Online Help — Free Verilog Language Reference Guide
  • Verilog Programs — Verilog programs

Tiêu chuẩn phát triển

  • IEEE Std 1364-2005 – The official standard for Verilog 2005 (not free).
  • IEEE P1364 – Working group for Verilog (inactive).
  • IEEE P1800 – Working group for SystemVerilog (replaces above).
  • Verilog syntax – A description of the syntax in Backus-Naur form. This predates the IEEE-1364 standard.
  • Verilog-AMS Lưu trữ 2016-03-19 tại Wayback Machine – Accellera mixed signal extensions to Verilog
  • Verilog 2001 syntax – A heavily linked BNF syntax for Verilog 2001 (generated by EBNF tools).
  • Free Verilog Utilities – RTL and Netlist parsers, Testbench generator, verilog2vhdl, vhdl2verilog, verilog2systemc, flattener, dependency browser and sorting.

Phần mở rộng ngôn ngữ

  • Verilog AUTOs - Một hệ thống nhận xét meta mã nguồn mở để đơn giản hóa việc duy trì mã Verilog.
  • x
  • t
  • s
Hiện nay
  • 488
  • 730
  • 754
    • Revision
  • 854
  • 828
  • 829
  • 896
  • 1003
  • 1014
  • 1016
  • 1076
  • 1149.1
  • 1154
  • 1164
  • 1275
  • 1278
  • 1284
  • 1355
  • 1394
  • 1451
  • 1497
  • 1516
  • 1541
  • 1547
  • 1584
  • 1588
  • 1596
  • 1603
  • 1613
  • 1666
  • 1667
  • 1675
  • 1685
  • 1800
  • 1801
  • 1815
  • 1850
  • 1900
  • 1901
  • 1902
  • 1904
  • 1905
  • 2030
  • 11073
  • 12207
  • 14764
  • 16085
  • 16326
  • 29148
  • 42010
Seri 802
  • D
  • p
  • Q
  • Qat
  • Qay
  • w
  • X
  • ab
  • ad
  • AE
  • ag
  • ah
  • ak
  • aq
  • ax
  • az
  • a
  • b
  • c
  • d
  • e
  • f
  • g
  • h
  • i
  • j
  • k
  • n
  • p
  • r
  • s
  • u
  • v
  • w
  • y
  • ac
  • ad
  • af
  • ah
  • ai
  • ax
  • ay
  • .2
  • .3
  • .4
  • .5
  • .6
  • .7
  • .8
  • .9
  • .10
  • .12
  • .14
  • .15
  • .16
  • .17
  • .18
  • .20
  • .21
  • .22
Được đề xuất
  • P1363
  • P1619
  • P1699
  • P1823
  • P1906.1
  • Không dùng nữa
    • 754-1985
    • 830
    • 1219
    • 1233
    • 1362
    • 1364
    • 1471
    Xem thêm
    IEEE Standards Association
    Thể loại:Tiêu chuẩn IEEE
    • x
    • t
    • s
    Khái niệm
    • ASIC
    • SoC
    • FPGA
      • Logic block
    • CPLD
    • EPLD
    • PLA
    • PAL
    • GAL
    • PSoC
    • Reconfigurable computing
      • Xputer
    • Soft microprocessor
    • Circuit underutilization
    • High-level synthesis
    • Hardware acceleration
    Ngôn ngữ
    • Verilog
      • A
      • AMS
    • VHDL
      • AMS
      • VITAL
    • SystemVerilog
      • DPI
    • SystemC
    • AHDL
    • Handel-C
    • PSL
    • UPF
    • PALASM
    • ABEL
    • CUPL
    • OpenVera
    • C to HDL
    • Flow to HDL
    • MyHDL
    • JHDL
    • ELLA
    Công ty
    • Accellera
    • Actel
    • Achronix
    • AMD
    • Aldec
    • Atmel
    • Cadence
    • Cypress
    • Duolog
    • Forte
    • Intel
      • Altera
    • Lattice
    • National
    • Mentor Graphics
    • Microsemi
    • Signetics
    • Synopsys
      • Magma
      • Virage Logic
    • Texas Instruments
    • Tabula
    • Xilinx
    Sản phẩm
    Phần cứng
    • iCE
    • Stratix
    • Virtex
    Phần mềm
    • Intel Quartus Prime
    • Xilinx ISE
    • Xilinx Vivado
    • ModelSim
    • VTR
    • Simulators
    IP
    Tài sản riêng
    • ARC
    • ARM Cortex-M
    • LEON
    • LatticeMico8
    • MicroBlaze
    • PicoBlaze
    • Nios
    • Nios II
    Nguồn mở
    • JOP
    • LatticeMico32
    • OpenCores
    • OpenRISC
      • 1200
    • RISC-V
    • Zet